超音神乐《七音图腾篇》(天牢 - Tianlao Sokoban -)

indienova.com/steam/game/925120

v1.22.1 正式版遊戲增加的功能:1. 遊戲的燈塔只推進,無法拉出。2. 系統自動存檔。3.……當卡關時重玩或是利用回復上一步功能。5. 每一個牢獄的最後一層破關後,會出現守護者問答。6.……以上為天牢版本v1.22.1 的功能。v1.22.1 試玩版差異:1.

方程 游戏列表:肝脏谋杀者

indienova.com/gamedb/list/224/p/1

艾尔登法环(Elden Ring) 异度神剑 3(Xenoblade Chronicles 3) 宝梦传说……XV: Royal Edition) 上古卷轴 5:天际 特别版(The Elder Scrolls V:……Skyrim Special Edition) 合金装备 5:幻痛(Metal Gear Solid V:

DarkWave Studio:UE4_混合功能_结构体及其原理_BluePrint

indienova.com/u/java/blogread/7897

第一个数组记录可以和谁混合,第二个数组记录混合后会出现什么新物品,和谁混合以及新物品的位置要相对应(例如,手机的结构体里和谁混合的数组第一个位置是电池……然后检测物品的名称是否存在于另一个物品的混合物品数组里,如果包含,就查一下,他的名称在混合物品数组里排第几……,然后我们得到了一个整数,也就是物品在混合物品中的排名,随后通过这个排名得到找到新的合成物的名字(之前说过两个数组的混合物和新合成物的名字要对应位置

四海旅人(As Far As The Eye)

indienova.com/steam/game/1119700

没什么担心得,当你的气息吹拂在他们的脸上,当他们看到自己的衣物因你而飘动时,他们就将明白这一切,因为他们正等待着你……在世界被淹没前,通过种植,手工业,以及科学和神话研究增进他们的智慧。……Rougelike 部落系统 自由调节你的游戏进程 使用游戏中的修改器,可以调节游戏时长、立足点大小、资源生成多少以及更多内容

浣熊硬探(Tails Noir)

indienova.com/game/tails-noir--1

跟你有什么关系?你只想挣够房租。 你不是英雄,普普通通路人甲而已。……霍华德·洛托干了好几年私家侦探,可惜接手的都是鸡毛碎皮的小案子,多少个寂寞的夜晚,辗转难眠,收入微薄,……眼下这桩奇怪的案子让你的生活有了改变,起初以为只是无关紧要的夫妻恩怨,后来却要被迫面对这座城市压迫市民的体制结构

HeyAlgo Studio:cardgame3 开发日志 10

indienova.com/u/heyalgo/blogread/35173

因为前面写得比较简单,这才发现需要更复杂的编辑器结构,特别是需要有不同模块区分。……大量的地方通过名字来if else,继续这样写下去,后面估计就没法维护了,所以还不如现在开始重构一版,把结构调整好……顺便提一下,我还发现虽然 `MidJourney` 认为 `v6` 以后,不需要 `a detailed

简单谈谈个人对游戏评测的看法

indienova.com/indie-game-news/personal-view-on-game-reviews/

不论程度多少,这类文章的肯定多多少少要兼顾到读者的看法。……另外一些理论上实现,但团队因为种种问题都不得不妥协了,然而这种实践方式其实是对理论有所增补(至少展现了另外一种不算漂亮……指点别人是非总是容易的,自己一点点踏实地做完整个结构,哪怕初看起来这个结构如此风雨飘摇,其中自己的思考也难为人道

全金属狂怒(Full Metal Furies)

indienova.com/game/full-metal-furies

◎ 椭圆形工程师 Erin – 用她的炮塔来控制战场。她还有一把枪! 全新形式的战斗。……◎ 体验一个充满反击、连击和椭圆形工程师的作战系统。◎ 每个类别都有其独特的技能树。多少种技能?……或者只需给工程师一把机关枪。 全新形式的敌人。 ◎ 复仇女神们很强硬,但敌人更加强硬

inspoy:一站式数据表导出流程 For Unity

indienova.com/u/inspot/blogread/4825

导表到外部文件再在运行时被Unity读取的一整套流程和实现 本文首发自inspoy的杂七杂八,欢迎关注前言游戏多少都会会有点数值信息……每列的第1行是该列的注释,用于说明这一行是做什么的,留空但不建议。……找到private void LoadAll()这行字符串,把函数体替换成相应的文本(根据具体导出了多少

一站式数据表导出流程 for Unity

indienova.com/indie-game-development/one-stop-data-export-flow-for-unity/

导表到外部文件再在运行时被Unity读取的一整套流程和实现 写在前面本文首发自 inspoy 的杂七杂八,欢迎关注前言游戏多少都会会有点数值信息……每列的第1行是该列的注释,用于说明这一行是做什么的,留空但不建议。……找到 private void LoadAll()这行字符串,把函数体替换成相应的文本(根据具体导出了多少

版本:Early Access


总页数:50


本次查询耗时:0.04 秒(地球人时间)